Publications
Conference and Journal Papers
2025
C168. PathGen: An Efficient Parallel Critical Path Generation Algorithm
- accepted
- Che Chang, Boyang Zhang, Cheng-Hsiang Chiu, Dian-Lun Lin, Yi-Hua Chung, Wan-Luan Lee, Zizheng Guo, Yibo Lin and Tsung-Wei Huang
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Tokyo, Japan, Jan, 2025.
C167. iTAP: An Incremental Task Graph Partitioner for Task-parallel Static Timing Analysis
- accepted
- Boyang Zhang, Che Chang, Cheng-Hsiang Chiu, Dian-Lun Lin, Yang Sui, Chih-Chun Chang, Yi-Hua Chung, Wan Luan Lee, Zizheng Guo, Yibo Lin and Tsung-Wei Huang
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Tokyo, Japan, Jan, 2025.
2024
C166. Analyzing Timing in Shorter Time: A Journey through Heterogeneous Parallelism for Static Timing Analysis
- preprint | Invited Paper
- Zizheng Guo, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Zhuhai, China, Oct 22-25, 2024.
C165. ATPlace2.5D: Analytical Thermal-Aware Chiplet Placement Framework for Large-Scale 2.5D-IC
- preprint
- Qipan Wang, Xueqing Li, Tianyu Jia, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), New Jersey, Oct, 2024.
C164. HeLEM-GR: Heterogeneous Global Routing with Linearized Exponential Multiplier Method
- preprint
- Chunyuan Zhao, Zizheng Guo, Rui Wang, Zaiwen Wen, Yun Liang and Yibo Lin
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), New Jersey, Oct, 2024.
C163. HeteroExcept: A CPU-GPU Heterogeneous Algorithm to Accelerate Exception-aware Static Timing Analysis
- preprint
- Zizheng Guo, Zuodong Zhang, Wuxi Li, Tsung-Wei Huang, Xizhe Shi, Yufan Du, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), New Jersey, Oct, 2024.
C162. Joint Placement Optimization for Hierarchical Analog/Mixed-Signal Circuits
- preprint
- Xiaohan Gao, Haoyi Zhang, Bingyan Liu, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), New Jersey, Oct, 2024.
C161. Fusion of Global Placement and Gate Sizing with Differentiable Optimization
- preprint | Best Paper Nomination
- Yufan Du, Zizheng Guo, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), New Jersey, Oct, 2024.
C160. FaStTherm: Fast and Stable Full-Chip Transient Thermal Predictor Considering Nonlinear Effects
- preprint
- Tianxiang Zhu, Qipan Wang, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), New Jersey, Oct, 2024.
C159. MORPH: More Robust ASIC Placement for Hybrid Region Constraint Management
- preprint
- Jing Mai, Zuodong Zhang, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), New Jersey, Oct, 2024.
J158. Large Circuit Models: Opportunities and Challenges
- preprint
- Tsung-Yi Ho, Sadaf Khan, Jinwei Liu, Yi Liu, Zhengyuan Shi, Ziyi Wang, Qiang Xu, Evangeline F.Y. Young, Bei Yu, Ziyang Zheng, Binwu Zhu, Keren Zhu, Yiqi Che, Yun Liang, Yibo Lin, Guojie Luo, Guangyu Sun, Runsheng Wang, Xinming Wei, Chenhao Xue, Haoyi Zhang, Zuodong Zhang, Yuxiang Zhao, Sunan Zou, Lei Chen, Yu Huang, Min Li, Dimitrios Tsaras, Mingxuan Yuan, Hui-Ling Zhen, Zhufei Chu, Wenji Fang, Xingquan Li and Zhiyao Xie
- Science China Information Sciences, Sep, 2024.
J157. Analytical Heterogeneous Die-to-Die 3D Placement With Macros
- accepted
- Yuxuan Zhao, Peiyu Liao, Siting Liu, Jiaxi Jiang, Yibo Lin and Bei Yu
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Aug, 2024.
J156. A Robust FPGA Router With Optimization of High-Fanout Nets and Intra-CLB Connections
- accepted
- Xun Jiang, Jiarui Wang, Jing Mai, Zhixiong Di and Yibo Lin
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Aug, 2024.
C155. Top-Level Routing for Multiply-Instantiated Blocks with Topology Hashing
- preprint
- Jiarui Wang, Xun Jiang and Yibo Lin
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jun 23-27, 2024.
C154. PowPrediCT: Cross-Stage Power Prediction with Circuit-Transformation-Aware Learning
- preprint
- Yufan Du, Zizheng Guo, Xun Jiang, Zhuomin Chai, Yuxiang Zhao, Yibo Lin, Runsheng Wang and Ru Huang
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jun 23-27, 2024.
C153. EasyACIM: An End-to-End Automated Analog CIM with Synthesizable Architecture and Agile Design Space Exploration
- preprint
- Haoyi Zhang, Jiahao Song, Xiaohan Gao, Xiyuan Tang, Yibo Lin, Runsheng Wang and Ru Huang
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jun 23-27, 2024.
C152. PVTSizing: A TuRBO-RL-Based Batch-Sampling Optimization Framework for PVT-Robust Analog Circuit Synthesis
- preprint
- Zichen Kong, Xiyuan Tang, Wei Shi, Yiheng Du, Yibo Lin and Yuan Wang
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jun 23-27, 2024.
C151. Lesyn: Placement-aware Logic Resynthesis for Non-Integer Multiple-Cell-Height Designs
- preprint
- Yuan Pu, Fangzhou Liu, Yu Zhang, Zhuolun He, Kai-Yuan Chao, Yibo Lin and Bei Yu
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jun 23-27, 2024.
C150. G-kway: Multilevel GPU-Accelerated k-way Graph Partitioner
- preprint
- Wan Luan Lee, Dian-Lun Lin, Tsung-Wei Huang, Shui Jiang, Tsung-Yi Ho, Yibo Lin and Bei Yu
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jun 23-27, 2024.
C149. Oltron: Algorithm-Hardware Co-design for Outlier-Aware Quantization of LLMs with Inter-/Intra-Layer Adaptation
- preprint
- Chenhao Xue, Chen Zhang, Xun Jiang, Gao Zhutianya , Yibo Lin and Guangyu Sun
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jun 23-27, 2024.
C148. First Experimental Demonstration of Self-Aligned Flip FET (FFET): A Breakthrough Stacked Transistor Technology with 2.5T Design, Dual-Side Active and Interconnects
- preprint
- Haoran Lu, Y Ge, ong , Xun Jiang, Jiacheng Sun, Wanyue Peng, Rui Guo, Ming Li, Yibo Lin, Runsheng Wang, Heng Wu and Ru Huang
- IEEE Symposium on VLSI Technology and Circuits (VLSI), Honolulu, HI, Jun 16-20, 2024.
J147. Analytical Die-to-Die 3D Placement With Bistratal Wirelength Model and GPU Acceleration
- Peiyu Liao, Yuxuan Zhao, Dawei Guo, Yibo Lin and Bei Yu
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Jun, 2024.
C146. Migrating Standard Cells for Multiple Drive Strengths by Routing Imitation
- preprint
- Xiaohan Gao, Haoyi Zhang, Zhu Pan, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Symposium of EDA (ISEDA), Xi’an, China, May 10-13, 2024.
C145. ATSim3D: Towards Accurate Thermal Simulator for Heterogeneous 3D IC Systems Considering Nonlinear Leakage and Conductivity
- preprint | Honorable Mention Paper Award
- Qipan Wang, Tianxiang Zhu, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Symposium of EDA (ISEDA), Xi’an, China, May 10-13, 2024.
C144. OpenPARF 3.0: Robust Multi-Electrostatics Based FPGA Macro Placement Considering Cascaded Macros Groups and Fence Regions
- preprint | Best Paper Award
- Jing Mai, Jiarui Wang, Yifan Chen, Zizheng Guo, Xun Jiang, Yun Liang and Yibo Lin
- IEEE/ACM International Symposium of EDA (ISEDA), Xi’an, China, May 10-13, 2024.
C143. CircuitNet 2.0: An Advanced Dataset for Promoting Machine Learning Innovations in Realistic Chip Design Environment
- release | preprint
- Xun Jiang, Zhuomin Chai, Yuxiang Zhao, Yibo Lin, Runsheng Wang and Ru Huang
- International Conference on Learning Representations (ICLR), Vienna, Austria, May 7-11, 2024.
J142. Dynamic Supply Noise Aware Timing Analysis With JIT Machine Learning Integration
- accepted
- Yufei Chen, Zizheng Guo, Runsheng Wang, Ru Huang, Yibo Lin and Cheng Zhuo
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), May, 2024.
C141. Heterogeneous Static Timing Analysis with Advanced Delay Calculator
- preprint
- Zizheng Guo, Tsung-Wei Huang, Zhou Jin, Cheng Zhuo, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Valencia, Spain, Mar 24-28, 2024.
C140. SAGERoute 2.0: Hierarchical Analog and Mixed Signal Routing Considering Versatile Routing Scenarios
- preprint
- Haoyi Zhang, Xiaohan Gao, Zilong Shen, Jiahao Song, Xiaoxu Cheng, Xiyuan Tang, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Valencia, Spain, Mar 24-28, 2024.
C139. IncreMacro: Incremental Macro Placement Refinement
- preprint | Best Paper Nomination
- Yuan Pu, Tinghuan Chen, Zhuolun He, Chen Bai, Haisheng Zheng, Yibo Lin and Bei Yu
- ACM International Symposium on Physical Design (ISPD), Taipei, Mar 12-15, 2024.
C138. Multi-Electrostatics Based Placement for Non-Integer Multiple-Height Cells
- preprint
- Yu Zhang, Yuan Pu, Fangzhou Liu, Peiyu Liao, Kaiyuan Chao, Keren Zhu, Yibo Lin and Bei Yu
- ACM International Symposium on Physical Design (ISPD), Taipei, Mar 12-15, 2024.
C137. Routing-aware Legal Hybrid Bonding Terminal Assignment for 3D Face-to-Face Stacked ICs
- preprint
- Siting Liu, Jiaxi Jiang, Zhuolun He, Ziyi Wang, Yibo Lin and Bei Yu
- ACM International Symposium on Physical Design (ISPD), Taipei, Mar 12-15, 2024.
J136. LEAPS: Topological-Layout-Adaptable Multi-Die FPGA Placement for Super Long Line Minimization
- preprint
- Zhixiong Di, Runzhe Tao, Jing Mai, Lin Chen and Yibo Lin
- IEEE Transactions on Circuits and Systems I, Mar, 2024.
J135. Multielectrostatic FPGA Placement Considering SLICEL-SLICEM Heterogeneity, Clock Feasibility, and Timing Optimization
- preprint | release
- Jing Mai, Jiarui Wang, Zhixiong Di and Yibo Lin
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Feb, 2024.
C134. An Efficient Task-parallel Pipeline Programming Framework
- Cheng-Hsiang Chiu, Zhicheng Xiong, Zizheng Guo, Tsung-Wei Huang and Yibo Lin
- International Conference on High-Performance Computing in Asia-Pacific Region (HPC Asia), Nagoya, Japan, Jan, 2024.
2023
J133. Accelerating Static Timing Analysis using CPU-GPU Heterogeneous Parallelism
- Zizheng Guo, Tsung-Wei Huang and Yibo Lin
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Dec, 2023.
J132. CircuitNet: An Open-Source Dataset for Machine Learning in VLSI CAD Applications with Improved Domain-Specific Evaluation Metric and Learning Strategies
- release
- Zhuomin Chai, Yuxiang Zhao, Wei Liu, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Dec, 2023.
J131. AVATAR: An Aging- and Variation-Aware Dynamic Timing Analyzer for Error-Efficient Computing
- Zuodong Zhang, Zizheng Guo, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Nov, 2023.
J130. A GPU-accelerated Framework for Path-based Timing Analysis
- Guannan Guo, Tsung-Wei Huang, Yibo Lin, Zizheng Guo, Sushma Yellapragada and Martin Wong
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Nov, 2023.
C129. Stronger Mixed-Size Placement Backbone Considering Second-Order Information
- preprint
- Yifan Chen, Zaiwen Wen, Yun Liang and Yibo Lin
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Francisco, CA, Oct 29-31, 2023.
J128. DREAMPlace 4.0: Timing-driven Placement with Momentum-based Net Weighting and Lagrangian-based Refinement
- release
- Peiyu Liao, Dawei Guo, Zizheng Guo, Siting Liu, Zhitang Chen, Wenlong Lv, Yibo Lin and Bei Yu
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Oct, 2023.
J127. Post-Layout Simulation Driven Analog Circuit Sizing
- preprint
- Xiaohan Gao, Haoyi Zhang, Siyuan Ye, Mingjie Liu, David Z. Pan, Linxiao Shen, Runsheng Wang, Yibo Lin and Ru Huang
- SCIENCE CHINA Information Sciences, Oct, 2023.
C126. OpenPARF: An Open-Source Placement and Routing Framework for Large-Scale Heterogeneous FPGAs with Deep Learning Toolkit
- preprint | release | Invited Paper
- Jing Mai, Jiaru Wang, Zhixiong Di, Guojie Luo, Yun Liang and Yibo Lin
- International Conference on ASIC (ASICON), Nanjing, China, Oct, 2023.
C125. Accelerating Routability and Timing Optimization with Open-Source AI4EDA Dataset CircuitNet and Heterogeneous Platforms
- preprint | Invited Paper
- Xun Jiang, Zizheng Guo, Zhuomin Chai, Yuxiang Zhao, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Francisco, CA, Oct, 2023.
C124. Khronos: Fusing Memory Access for Improved Hardware RTL Simulation
- preprint
- Kexing Zhou, Yun Liang, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Symposium on Microarchitecture (MICRO), Toronto, Canada, Oct, 2023.
J123. DRC-SG 2.0: Efficient Design Rule Checking Script Generation via Key Information Extraction
- Binwu Zhu, Xinyun Zhang, Yibo Lin, Bei Yu and Martin Wong
- ACM Transactions on Design Automation of Electronic Systems (TODAES), Sep, 2023.
C122. General-Purpose Gate-Level Simulation with Partition-Agnostic Parallelism
- preprint
- Zizheng Guo, Zuodong Zhang, Xun Jiang, Wuxi Li, Yibo Lin, Runsheng Wang and Ru Huang
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 9-13, 2023.
C121. MTL-Designer: An Integrated Flow for Analysis and Synthesis of Microstrip Transmission Line
- preprint
- Qipan Wang, Ping Liu, Ligguo Jiang, Mingjie Liu, Yibo Lin, Runsheng Wang and Ru Huang
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 9-13, 2023.
C120. On a Moreau Envelope Wirelength Model for Analytical Global Placement
- preprint
- Peiyu Liao, Hongduo Liu, Yibo Lin, Bei Yu and Martin Wong
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 9-13, 2023.
C119. Concurrent Sign-off Timing Optimization via Deep Steiner Points Refinement
- preprint
- Siting Liu, Ziyi Wang, Fangzhou Liu, Yibo Lin, Bei Yu and Martin Wong
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 9-13, 2023.
C118. Mitigating Distribution Shift for Congestion Optimization in Global Placement
- preprint
- Su Zheng, Lancheng Zou, Siting Liu, Yibo Lin, Bei Yu and Martin Wong
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 9-13, 2023.
C117. LRSDP: Low-Rank SDP for Triple Patterning Lithography Layout Decomposition
- preprint
- Yu Zhang, Yifan Chen, Zhonglin Xie, Hong Xu, Zaiwen Wen, Yibo Lin and Bei Yu
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 9-13, 2023.
J116. FastGR: Global Routing on CPU-GPU with Heterogeneous Task Graph Scheduler
- Siting Liu, Yuan Pu, Peiyu Liao, Hongzhong Wu, Rui Zhang, Zhitang Chen, Wenlong Lv, Yibo Lin and Bei Yu
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Jul, 2023.
C115. HybridNet: Dual-Branch Fusion of Geometrical and Topological Views for VLSI Congestion Prediction
- preprint
- Yuxiang Zhao, Zhuomin Chai, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Symposium of EDA (ISEDA), Nanjing, China, May 8-11, 2023.
C114. Multi-Scenario Analog and Mixed-Signal Circuit Routing with Agile Human Interaction
- Haoyi Zhang, Xiaohan Gao, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Symposium of EDA (ISEDA), Nanjing, China, May 8-11, 2023.
C113. SAGERoute: Synergistic Analog Routing Considering Geometric and Electrical Constraints with Manual Design Compatibility
- preprint | release | Best Paper Award
- Haoyi Zhang, Xiaohan Gao, Haoyang Luo, Jiahao Song, Xiyuan Tang, Junhua Liu, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Antwerp, Belgium, Apr 17-19, 2023.
C112. READ: Reliability-Enhanced Accelerator Dataflow Optimization using Critical Input Pattern Reduction
- preprint
- Zuodong Zhang, Meng Li, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Antwerp, Belgium, Apr 17-19, 2023.
J111. Interactive Analog Layout Editing with Instant Placement and Routing Legalization
- Xiaohan Gao, Haoyi Zhang, Mingjie Liu, Linxiao Shen, David Z. Pan, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Mar, 2023.
J110. Introduction to the Special Issue on Machine Learning for CAD/EDA
- Yibo Lin, Avi Ziv and Haoxing Ren
- ACM Transactions on Design Automation of Electronic Systems (TODAES), Mar, 2023.
J109. Efficient Aging-Aware Standard Cell Library Characterization Based on Sensitivity Analysis
- Xinfa Zhang, Zuodong Zhang, Yibo Lin, Zhigang Ji, Runsheng Wang and Ru Huang
- IEEE Transactions on Circuits and Systems II: Express Briefs, Feb, 2023.
C108. MacroRank: Ranking Macro Placement Solutions Leveraging Translation Equivariancy
- preprint | release
- Yifan Chen, Jing Mai, Xiaohan Gao, Muhan Zhang and Yibo Lin
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Tokyo, Japan, Jan 16-19, 2023.
C107. A Robust FPGA Router with Concurrent Intra-CLB Rerouting
2022
J106. Towards Machine Learning for Placement and Routing in Chip Design: a Methodological Overview
- preprint
- Junchi Yan, Xianglong Lyu, Ruoyu Cheng and Yibo Lin
- arXiv preprint, 2022.
J105. Adaptive Layout Decomposition with Graph Embedding Neural Networks
- Wei Li, Jialu Xia, Yuzhe Ma, Jialu Li, Yibo Lin and Bei Yu
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Nov, 2022.
C104. GPU-Accelerated Rectilinear Steiner Tree Generation
- preprint
- Zizheng Guo, Feng Gu and Yibo Lin
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Diego, CA, Nov 01-03, 2022.
C103. DeePEB: A Neural Partial Differential Equation Solver for Post Exposure Baking Simulation in Lithography
- preprint | release | Best Paper Nomination
- Qipan Wang, Xiaohan Gao, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), San Diego, CA, Nov 01-03, 2022.
C102. Intelligent and Interactive Analog Layout Design Automation
- preprint | Invited Paper
- Yibo Lin, Xiaohan Gao, Haoyi Zhang, Runsheng Wang and Ru Huang
- IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Nanjing, China, Oct 25-28, 2022.
J101. A Provably Good and Practically Efficient Algorithm for Common Path Pessimism Removal in Large Designs
- Zizheng Guo, Mingwei Yang, Tsung-Wei Huang and Yibo Lin
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Oct, 2022.
J100. MLCAD: A Survey of Research in Machine Learning for CAD
- Invited Keynote Paper
- Martin Rapp, Hussam Amrouch, Yibo Lin, Bei Yu, David Z. Pan, Marilyn Wolf and Jörg Henkel
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Oct, 2022.
C99. Efficient Design Rule Checking Script Generation via Key Information Extraction
- Binwu Zhu, Xinyun Zhang, Yibo Lin, Bei Yu and Martin Wong
- ACM/IEEE Workshop on Machine Learning for CAD (MLCAD), Snowbird, Utah, Sep 12-13, 2022.
J98. Asynchronous Reinforcement Learning Framework and Knowledge Transfer for Net Order Exploration in Detailed Routing
- Yibo Lin, Tong Qu, Zongqing Lu, Yajuan Su and Yayi Wei
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Sep, 2022.
J97. CircuitNet: An Open-Source Dataset for Machine Learning Applications in Electronic Design Automation (EDA)
- preprint | release
- Zhuomin Chai, Yuxiang Zhao, Yibo Lin, Wei Liu, Runsheng Wang and Ru Huang
- SCIENCE CHINA Information Sciences, Sep, 2022.
C96. Multi-Electrostatic FPGA Placement Considering SLICEL-SLICEM Heterogeneity and Clock Feasibility
- preprint | release
- Jing Mai, Yibai Meng, Zhixiong Di and Yibo Lin
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 10-14, 2022.
C95. Differentiable-Timing-Driven Global Placement
- preprint
- Zizheng Guo and Yibo Lin
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 10-14, 2022.
C94. A Timing Engine Inspired Graph Neural Network Model for Pre-Routing Slack Prediction
- preprint | release
- Zizheng Guo, Mingjie Liu, Jiaqi Gu, Shuhan Zhang, David Z. Pan and Yibo Lin
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 10-14, 2022.
C93. AVATAR: An Aging- and Variation-Aware Dynamic Timing Analyzer for Application-based DVAFS
- preprint
- Zuodong Zhang, Zizheng Guo, Yibo Lin, Runsheng Wang and Ru Huang
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 10-14, 2022.
C92. LHNN: Lattice Hypergraph Neural Network for VLSI Congestion Prediction
- preprint
- Bowen Wang, Guibao Shen, Dong Li, Jianye Hao, Wulong Liu, Yu Huang, Hongzhong Wu, Yibo Lin, Guangyong Chen and Pheng Ann Heng
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jul 10-14, 2022.
J91. Taskflow: A Lightweight Parallel and Heterogeneous Task Graph Computing System
- preprint | release
- Tsung-Wei Huang, Dian-Lun Lin, Chun-Xun Lin and Yibo Lin
- IEEE Transactions on Parallel and Distributed Systems (TPDS), Jun, 2022.
C90. EventTimer: Fast and Accurate Event-Based Dynamic Timing Analysis
- preprint
- Zuodong Zhang, Zizheng Guo, Yibo Lin, Runsheng Wang and Ru Huang
- IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Antwerp, Belgium, Mar 14-23, 2022.
C89. FastGR: Global Routing on CPU-GPU with Heterogeneous Task Graph Scheduler
- preprint | Best Paper Award
- Siting Liu, Peiyu Liao, Zhitang Chen, Wenlong Lv, Yibo Lin and Bei Yu
- IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Antwerp, Belgium, Mar 14-23, 2022.
C88. DREAMPlace 4.0: Timing-driven Global Placement with Momentum-based Net Weighting
- preprint | release
- Peiyu Liao, Siting Liu, Zhitang Chen, Wenlong Lv, Yibo Lin and Bei Yu
- IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Antwerp, Belgium, Mar 14-23, 2022.
C87. Mixed-Cell-Height Legalization on CPU-GPU Heterogeneous Systems
- preprint
- Haoyu Yang, Kit Fung, Yuxuan Zhao, Yibo Lin and Bei Yu
- IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Antwerp, Belgium, Mar 14-23, 2022.
C86. FPGA-Accelerated Maze Routing Kernel for VLSI Designs
- preprint
- Xun Jiang, Yibo Lin and Zhongfeng Wang
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Virtual Conference, Jan 17-20, 2022.
C85. Efficient Critical Paths Search Algorithm using Mergeable Heap
- preprint
- Kexing Zhou, Zizheng Guo, Tsung-Wei Huang and Yibo Lin
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Virtual Conference, Jan 17-20, 2022.
J84. elfPlace: Electrostatics-based Placement for Large-Scale Heterogeneous FPGAs
- preprint
- Yibai Meng, Wuxi Li, Yibo Lin and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Jan, 2022.
2021
C83. A Provably Good and Practically Efficient Algorithm for Common Path Pessimism Removal in Large Designs
- preprint
- Zizheng Guo, Tsung-Wei Huang and Yibo Lin
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Dec 05-09, 2021.
C82. GPU-accelerated Path-based Timing Analysis
- preprint
- Guannan Guo, Tsung-Wei Huang, Yibo Lin and Martin Wong
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Dec 05-09, 2021.
C81. Ultrafast CPU/GPU Kernels for Density Accumulation in Placement
- preprint
- Zizheng Guo, Jing Mai and Yibo Lin
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Dec 05-09, 2021.
C80. Interactive Analog Layout Editing with Instant Placement Legalization
- preprint
- Xiaohan Gao, Mingjie Liu, David Z. Pan and Yibo Lin
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Dec 05-09, 2021.
J79. 模拟电路版图自动化与智能设计
- Yibo Lin
- 中国计算机学会通讯, Dec, 2021.
J78. OpenMPL: An Open Source Layout Decomposer
- release
- Wei Li, Yuzhe Ma, Qi Sun, Zhang Lu , Yibo Lin, Iris Hui-Ru Jiang, Bei Yu and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Nov, 2021.
C77. HeteroCPPR: Accelerating Common Path Pessimism Removal with Heterogeneous CPU-GPU Parallelism
- preprint
- Zizheng Guo, Tsung-Wei Huang and Yibo Lin
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Virtual Conference, Nov 01-04, 2021.
C76. GPU-accelerated Critical Path Generation with Path Constraints
- preprint
- Guannan Guo, Tsung-Wei Huang, Yibo Lin and Martin Wong
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Virtual Conference, Nov 01-04, 2021.
C75. Litho-Aware Redundant Local-Loop Insertion Framework With Convolutional Neural Network
- preprint
- Tong Qu, Yibo Lin, Tianyang Gai, Xiaojing Su, Shuhan Wang, Bojie Ma, Yajuan Su and Yayi Wei
- Proceedings of SPIE, San Jose, CA, Sep 27, 2021.
J74. Cpp-Taskflow: A General-purpose Parallel Task Programming System at Scale
- release
- Tsung-Wei Huang, Yibo Lin, Chun-Xun Lin, Guannan Guo and Martin Wong
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Aug, 2021.
J73. MAGICAL: An Open-Source Fully Automated Analog IC Layout System from Netlist to GDSII
- Hao Chen, Mingjie Liu, Biying Xu, Keren Zhu, Xiyuan Tang, Shaolan Li, Yibo Lin, Nan Sun and David Z. Pan
- IEEE Design & Test, Apr, 2021.
J72. DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement
- preprint | release | Best Paper Award
- Yibo Lin, Zixuan Jiang, Jiaqi Gu, Wuxi Li, Shounak Dhar, Haoxing Ren, Brucek Khailany and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Apr, 2021.
J71. A Novel and Unified Full-chip CMP Model Aware Dummy Fill Insertion Framework with SQP-Based Optimization Method
- Junzhe Cai, Changhao Yan, Yudong Tao, Yibo Lin, Sheng-Guo Wang, David Z. Pan and Xuan Zeng
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Mar, 2021.
J70. GAN-SRAF: Sub-Resolution Assist Feature Generation using Generative Adversarial Networks
- preprint
- Mohamed Baker Alawieh, Yibo Lin, Zaiwei Zhang, Meng Li, Qixing Huang and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Feb, 2021.
J69. 机器学习辅助数字集成电路后端设计方法
- Yibo Lin, Xiaohan Gao, Tinghuan Chen and Bei Yu
- 微纳电子与智能制造, Feb, 2021.
J68. 先进工艺下的数字签核
- Cheng Zhuo, Zizheng Guo, Xiao Dong, Qing He and Yibo Lin
- 微纳电子与智能制造, Feb, 2021.
C67. Asynchronous Reinforcement Learning Framework for Net Order Exploration in Detailed Routing
- preprint
- Tong Qu, Yibo Lin, Zongqing Lu, Yajuan Su and Yayi Wei
- IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Virtual Conference, Feb 01-05, 2021.
C66. Global Placement with Deep Learning-Enabled Explicit Routability Optimization
- preprint
- Siting Liu, Qi Sun, Peiyu Liao, Yibo Lin and Bei Yu
- IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Virtual Conference, Feb 01-05, 2021.
C65. Towards AQFP-Capable Physical Design Automation
- preprint
- Hongjia Li, Mengshu Sun, Tianyun Zhang, Olivia Chen, Nobuyuki Yoshikawa, Bei Yu, Yanzhi Wang and Yibo Lin
- IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Virtual Conference, Feb 01-05, 2021.
C64. Layout Symmetry Annotation for Analog Circuits with Graph Neural Networks
- preprint
- Xiaohan Gao, Chenhui Deng, Mingjie Liu, Zhiru Zhang, David Z. Pan and Yibo Lin
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Tokyo, Japan, Jan 18-21, 2021.
C63. Deep Learning for Mask Synthesis and Verification: A Survey
- preprint | Invited Paper
- Yibo Lin
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Tokyo, Japan, Jan 18-21, 2021.
2020
J62. ABCDPlace: Accelerated Batch-based Concurrent Detailed Placement on Multi-threaded CPUs and GPUs
- preprint | release
- Yibo Lin, Wuxi Li, Jiaqi Gu, Haoxing Ren, Brucek Khailany and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Dec, 2020.
C61. DREAMPlace 3.0: Multi-Electrostatics Based Robust VLSI Placement with Region Constraints
- preprint | slides | release
- Jiaqi Gu, Zixuan Jiang, Yibo Lin and David Z. Pan
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2-5, 2020.
C60. GPU-Accelerated Static Timing Analysis
- preprint | slides
- Zizheng Guo, Tsung-Wei Huang and Yibo Lin
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2-5, 2020.
C59. GPU Acceleration in VLSI Back-end Design: Overview and Case Studies
- preprint | slides | Invited Tutorial
- Yibo Lin
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2-5, 2020.
C58. TEMPO: Fast Mask Topography Effect Modeling with Deep Learning
- preprint | Best Paper Award
- Wei Ye, Mohamed Baker Alawieh, Yuki Watanabe, Shigeki Nojima, Yibo Lin and David Z. Pan
- ACM International Symposium on Physical Design (ISPD), Taipei, Taiwan, Sep 20-23, 2020.
J57. Automatic Selection of Structure Parameters of Silicon on Insulator Lateral Power Device Using Bayesian Optimization
- Jing Chen, Mohamed Baker Alawieh, Yibo Lin, Maolin Zhang, Jun Zhang, Yufeng Guo and David Z. Pan
- IEEE Electron Device Letters (EDL), Sep, 2020.
C56. Adaptive Layout Decomposition with Graph Embedding Neural Networks
- preprint | slides
- Wei Li, Jialu Xia, Yuzhe Ma, Jialu Li, Yibo Lin and Bei Yu
- ACM/IEEE Design Automation Conference (DAC), San Francisco, Jul 19-23, 2020.
J55. EUV Multilayer Defect Characterization via Cycle-Consistent Learning
- preprint
- Ying Chen, Yibo Lin, Rui Chen, Lisong Dong, Ruixuan Wu, Tianyang Gai, Le Ma, Yajuan Su and Yayi Wei
- Optics Express, Jun, 2020.
C54. DREAMPlace 2.0: Open-Source GPU-Accelerated Global and Detailed Placement for Large-Scale VLSI Designs
- preprint | release | Invited Paper
- Yibo Lin, David Z. Pan, Haoxing Ren and Brucek Khailany
- China Semiconductor Technology International Conference (CSTIC), Shanghai, China, Jun, 2020.
C53. ReGDS: A Reverse Engineering Framework from GDSII to Gate-level Netlist
- preprint
- Rachel Selina Rajarathnam, Yibo Lin, Yier Jin and David Z. Pan
- IEEE International Workshop on Hardware-Oriented Security and Trust (HOST), San Jose, CA, May 4, 2020.
J52. Powernet: SOI Lateral Power Device Breakdown Prediction With Deep Neural Networks
- preprint
- Jing Chen, Mohamed Baker Alawieh, Yibo Lin, Maolin Zhang, Jun Zhang, Yufeng Guo and David Z. Pan
- IEEE Access, Feb, 2020.
C51. S3DET: Detecting System Symmetry Constraints for Analog Circuits with Graph Similarity
- preprint | slides | Best Paper Nomination
- Mingjie Liu, Wuxi Li, Keren Zhu, Biying Xu, Yibo Lin, Linxiao Shen, Xiyuan Tang, Nan Sun and David Z. Pan
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Jan 13-16, 2020.
C50. High-Definition Routing Congestion Prediction for Large-Scale FPGAs
2019
C49. elfPlace: Electrostatics-based Placement for Large-Scale Heterogeneous FPGAs
- preprint | slides
- Wuxi Li, Yibo Lin and David Z. Pan
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Westminster, CO, Nov 4-7, 2019.
C48. GeniusRoute: A New Analog Routing Paradigm Using Generative Neural Network Guidance
- preprint | slides
- Keren Zhu, Mingjie Liu, Yibo Lin, Biying Xu, Shaolan Li, Xiyuan Tang, Nan Sun and David Z. Pan
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Westminster, CO, Nov 4-7, 2019.
C47. Mixed Precision Neural Architecture Search for Energy Efficient Deep Learning
- preprint | slides
- Chengyue Gong, Zixuan Jiang, Dilin Wang, Yibo Lin, Qiang Liu and David Z. Pan
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Westminster, CO, Nov 4-7, 2019.
C46. MAGICAL: Toward Fully Automated Analog IC Layout Leveraging Human and Machine Intelligence
- preprint | Invited Paper
- Biying Xu, Keren Zhu, Mingjie Liu, Yibo Lin, Shaolan Li, Xiyuan Tang, Nan Sun and David Z. Pan
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Westminster, CO, Nov 4-7, 2019.
J45. SoulNet: Ultrafast Optical Source Optimization Utilizing Generative Neural Networks for Advanced Lithography
- preprint
- Ying Chen, Yibo Lin, Lisong Dong, Tianyang Gai, Rui Chen, Yajuan Su, Yayi Wei and David Z. Pan
- Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3), Nov, 2019.
J44. Data Efficient Lithography Modeling with Transfer Learning and Active Data Selection
- preprint | poster
- Yibo Lin, Meng Li, Yuki Watanabe, Taiki Kimura, Tetsuaki Matsunawa, Shigeki Nojima and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Oct, 2019.
C43. OpenMPL: An Open Source Layout Decomposer
- preprint | slides | release | Invited Paper
- Wei Li, Yuzhe Ma, Qi Sun, Yibo Lin, Iris Hui-Ru Jiang, Bei Yu and David Z Pan
- International Conference on ASIC (ASICON), Chongqing, China, Oct, 2019.
C42. DREAMPlace: Deep Learning Toolkit-Enabled GPU Acceleration for Modern VLSI Placement
- preprint | slides | release | Best Paper Award
- Yibo Lin, Shounak Dhar, Wuxi Li, Haoxing Ren, Brucek Khailany and David Z. Pan
- ACM/IEEE Design Automation Conference (DAC), Las Vegas, NV, Jun 2-6, 2019.
C41. LithoGAN: End-to-End Lithography Modeling with Generative Adversarial Networks
- preprint | slides | Best Paper Nomination
- Wei Ye, Mohamed Baker Alawieh, Yibo Lin and David Z. Pan
- ACM/IEEE Design Automation Conference (DAC), Las Vegas, NV, Jun 2-6, 2019.
C40. WellGAN: Generative-Adversarial-Network-Guided Well Generation for Analog/Mixed-Signal Circuit Layout
- preprint
- Biying Xu, Yibo Lin, Xiyuan Tang, Shaolan Li, Linxiao Shen, Nan Sun and David Z. Pan
- ACM/IEEE Design Automation Conference (DAC), Las Vegas, NV, Jun 2-6, 2019.
C39. GAN-SRAF: Sub-Resolution Assist Feature Generation Using Conditional Generative Adversarial Networks
- preprint | slides
- Mohamed Baker Alawieh, Yibo Lin, Zaiwei Zhang, Meng Li, Qixing Huang and David Z. Pan
- ACM/IEEE Design Automation Conference (DAC), Las Vegas, NV, Jun 2-6, 2019.
C38. Towards a Theoretical Understanding of Hashing-Based Neural Nets
- preprint
- Yibo Lin, Zhao Song and Lin F. Yang
- International Conference on Artificial Intelligence and Statistics (AISTATS), Okinawa, Japan, Apr 16-18, 2019.
C37. Device Layer-Aware Analytical Placement for Analog Circuits
- preprint | Best Paper Nomination
- Biying Xu, Shaolan Li, Chak-Wa Pui, Derong Liu, Linxiao Shen, Yibo Lin, Nan Sun and David Z. Pan
- ACM International Symposium on Physical Design (ISPD), San Francisco, CA, Apr 14-17, 2019.
J36. Semi-Supervised Hotspot Detection with Self-Paced Multi-Task Learning
- preprint
- Ying Chen, Yibo Lin, Tianyang Gai, Yajuan Su, Yayi Wei and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Apr, 2019.
C35. Litho-GPA: Gaussian Process Assurance for Lithography Hotspot Detection
- preprint
- Wei Ye, Mohamed Baker Alawieh, Meng Li, Yibo Lin and David Z. Pan
- IEEE/ACM Proceedings Design, Automation and Test in Europe (DATE), Florence, Italy, Mar 25-29, 2019.
J34. Lithography Hotspot Detection Using a Double Inception Module Architecture
- preprint
- Jing Chen, Yibo Lin, Yufeng Guo, Maolin Zhang, Mohamed Baker Alawieh and David Z. Pan
- Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3), Mar, 2019.
C33. Semi-Supervised Hotspot Detection with Self-Paced Multi-Task Learning
- preprint | release
- Ying Chen, Yibo Lin, Tianyang Gai, Yajuan Su, Yayi Wei and David Z. Pan
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Tokyo, Japan, Jan 21-24, 2019.
C32. Tackling Signal Electromigration with Learning-Based Detection and Multistage Mitigation
- preprint
- Wei Ye, Mohamed Baker Alawieh, Yibo Lin and David Z. Pan
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Tokyo, Japan, Jan 21-24, 2019.
C31. LithoROC: Lithography Hotspot Detection with Explicit ROC Optimization
- preprint | Invited Paper
- Wei Ye, Yibo Lin, Meng Li, Qiang Liu and David Z. Pan
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Tokyo, Japan, Jan 21-24, 2019.
2018
C30. Machine Learning for Yield Learning and Optimization
- preprint | Invited Paper
- Yibo Lin, Mohamed Baker Alawieh, Wei Ye and David Z. Pan
- IEEE International Test Conference (ITC), Phoenix, Arizona, Oct, 2018.
J29. Layout Synthesis for Topological Quantum Circuits with 1D and 2D Architectures
- preprint
- Yibo Lin, Bei Yu, Meng Li and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Aug, 2018.
J28. A practical split manufacturing framework for trojan prevention via simultaneous wire lifting and cell insertion
- preprint
- Meng Li, Bei Yu, Yibo Lin, Xiaoqing Xu, Wuxi Li and David Z Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Jul, 2018.
C27. Learning Long Term Dependencies via Fourier Recurrent Units
- preprint | release
- Jiong Zhang, Yibo Lin, Zhao Song and Inderjit S Dhillon
- International Conference on Machine Learning (ICML), Stockholm, Sweden, Jun 10-15, 2018.
J26. Subresolution Assist Feature Generation With Supervised Data Learning
- preprint
- Xiaoqing Xu, Yibo Lin, Meng Li, Tetsuaki Matsunawa, Shigeki Nojima, Chikaaki Kodama, Toshiya Kotani and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Jun, 2018.
J25. MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes
- preprint | release
- Yibo Lin, Bei Yu, Xiaoqing Xu, Jhih-Rong Gao, Natarajan Viswanathan, Wen-Hao Liu, Zhuo Li, Charles J Alpert and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Jun, 2018.
J24. UTPlaceF 2.0: A High-Performance Clock-Aware FPGA Placement Engine
- preprint
- Wuxi Li, Yibo Lin, Meng Li, Shounak Dhar and David Z. Pan
- ACM Transactions on Design Automation of Electronic Systems (TODAES), Jun, 2018.
C23. Data Efficient Lithography Modeling with Residual Neural Networks and Transfer Learning
- preprint | slides
- Yibo Lin, Yuki Watanabe, Taiki Kimura, Tetsuaki Matsunawa, Shigeki Nojima, Meng Li and David Z. Pan
- ACM International Symposium on Physical Design (ISPD), Monterey, CA, Mar 25-28, 2018.
C22. A Practical Split Manufacturing Framework for Trojan Prevention via Simultaneous Wire Lifting and Cell Insertion
- preprint
- Meng Li, Bei Yu, Yibo Lin, Xiaoqing Xu, Wuxi Li and David Z. Pan
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Jeju, Korea, Jan 22-25, 2018.
C21. Layout-dependent aging mitigation for critical path timing
- preprint
- Che-Lun Hsu, Shaofeng Guo, Yibo Lin, Xiaoqing Xu, Meng Li, Runsheng Wang, Ru Huang and David Z Pan
- IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), Jeju, Korea, Jan 22-25, 2018.
2017
C20. Patterning Aware Design Optimization of Selective Etching in N5 and Beyond
- preprint | slides
- Yibo Lin, Peter Debacker, Darko Trivkovic, Ryoung-han Kim, Praveen Raghavan and David Z. Pan
- IEEE International Conference on Computer Design (ICCD), Boston, MA, Nov 5-8, 2017.
C19. Machine learning for mask/wafer hotspot detection and mask synthesis
- preprint | Invited paper
- Yibo Lin, Xiaoqing Xu, Jiaojiao Ou and David Z Pan
- Photomask Technology, Oct 16, 2017.
J18. High performance dummy fill insertion with coupling and uniformity constraints
- preprint | release
- Yibo Lin, Bei Yu and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Sep, 2017.
C17. Placement Mitigation Techniques for Power Grid Electromigration
- preprint
- Wei Ye, Yibo Lin, Xiaoqing Xu, Wuxi Li, Yiwei Fu, Yongsheng Sun, Canhui Zhan and David Z. Pan
- IEEE International Symposium on Low Power Electronics and Design (ISLPED), Taipei, Jul 24-26, 2017.
J16. Triple patterning aware detailed placement toward zero cross-row middle-of-line conflict
- preprint | release
- Yibo Lin, Bei Yu, Biying Xu and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Jul, 2017.
J15. Redundant local-Loop insertion for unidirectional routing
- preprint
- Xiaoqing Xu, Yibo Lin, Meng Li, Jiaojiao Ou, Brian Cline and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), Jul, 2017.
C14. Concurrent Pin Access Optimization for Unidirectional Routing
- preprint
- Xiaoqing Xu, Yibo Lin, Vinicius Livramento and David Z. Pan
- ACM/IEEE Design Automation Conference (DAC), Austin, TX, Jun 18-22, 2017.
J13. Stitch aware detailed placement for multiple e-beam lithography
- preprint | Best Paper Award
- Yibo Lin, Bei Yu, Yi Zou, Zhuo Li, Charles J Alpert and David Z. Pan
- Integration, the VLSI Journal, Jun, 2017.
J12. Triple/quadruple patterning layout decomposition via linear programming and iterative rounding
- preprint
- Yibo Lin, Xiaoqing Xu, Bei Yu, Ross Baldick and David Z. Pan
- Journal of Micro/Nanolithography, MEMS, and MOEMS (JM3), Jun, 2017.
C11. DSAR: DSA aware routing with simultaneous DSA guiding pattern and double patterning assignment
- preprint
- Jiaojiao Ou, Bei Yu, Xiaoqing Xu, Joydeep Mitra, Yibo Lin and David Z. Pan
- ACM International Symposium on Physical Design (ISPD), Portland, OR, Mar 19-22, 2017.
2016
C10. MrDP: Multiple-row detailed placement of heterogeneous-sized cells for advanced nodes
- preprint | slides | release
- Yibo Lin, Bei Yu, Xiaoqing Xu, Jhih-Rong Gao, Natarajan Viswanathan, Wen-Hao Liu, Zhuo Li, Charles J Alpert and David Z. Pan
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, Nov 7-10, 2016.
C9. A novel unified dummy fill insertion framework with SQP-based optimization method
- preprint
- Yudong Tao, Changhao Yan, Yibo Lin, Sheng-Guo Wang, David Z. Pan and Xuan Zeng
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, Nov 7-10, 2016.
C8. Detailed placement in advanced technology nodes: a survey
- preprint | Invited Paper
- Yibo Lin, Bei Yu and David Z. Pan
- IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT), Hangzhou, China, Oct 25-28, 2016.
J7. Design for manufacturability and reliability in extreme-scaling VLSI
- preprint | Invited Paper
- Bei Yu, Xiaoqing Xu, Subhendu Roy, Yibo Lin, Jiaojiao Ou and David Z. Pan
- Science China Information Sciences, May, 2016.
C6. Triple/quadruple patterning layout decomposition via novel linear programming and iterative rounding
- preprint | slides | release | Best Student Paper Award
- Yibo Lin, Xiaoqing Xu, Bei Yu, Ross Baldick and David Z. Pan
- Proceedings of SPIE, San Jose, CA, Feb 21-25, 2016.
C5. Stitch aware detailed placement for multiple e-beam lithography
2015
C4. Triple patterning aware detailed placement toward zero cross-row middle-of-line conflict
- preprint | slides | release
- Yibo Lin, Bei Yu, Biying Xu and David Z. Pan
- IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX, Nov 2-6, 2015.
C3. High performance dummy fill insertion with coupling and uniformity constraints
- preprint | slides | release
- Yibo Lin, Bei Yu and David Z. Pan
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jun 7-11, 2015.
C2. Pushing multiple patterning in sub-10nm: are we ready?
- preprint | Invited Paper
- David Z. Pan, Lars Liebmann, Bei Yu, Xiaoqing Xu and Yibo Lin
- ACM/IEEE Design Automation Conference (DAC), San Francisco, CA, Jun 7-11, 2015.
J1. Methodology for standard cell compliance and detailed placement for triple patterning lithography
- preprint
- Bei Yu, Xiaoqing Xu, Jhih-Rong Gao, Yibo Lin, Zhuo Li, Charles Alpert and David Z. Pan
- IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), May, 2015.
Book Chapters
2023
B3. Deep Learning Framework for Placement
- Invited Book Chapter
- Yibo Lin, Zizheng Guo and Jing Mai
- Machine Learning Applications in Electronic Design Automation, Springer, 2023.
- Edited by Haoxing Ren and Jiang Hu.
B2. Machine Learning for Mask Synthesis and Verification
- Invited Book Chapter
- Haoyu Yang, Yibo Lin and Bei Yu
- Machine Learning Applications in Electronic Design Automation, Springer, 2023.
- Edited by Haoxing Ren and Jiang Hu.
2018
B1. Machine Learning in Physical Verification, Mask Synthesis, and Physical Design
- preprint | Invited Book Chapter
- Yibo Lin and David Z. Pan
- Machine Learning in VLSI Computer-Aided Design, Springer, 2018.
- Edited by Abe Elfedel, Duane Boning and Xin Li.
PhD Thesis
2018
1. Bridging Design and Manufacturing Gap through Machine Learning and Machine-Generated Layout
- Yibo Lin
- PhD Thesis, University of Texas at Austin, 2018.